A novel congestion-aware routing algorithm with prediction in mesh-based networks-on-chip
Increasing the number of processing cores in the networks-on-chip in recent years has made congestion one of the most important challenges in this field. One of the best ways to solve this problem, which has greater effectiveness and less overhead, is the use of congestion-aware routing algorithm. I...
Saved in:
| Published in | Nano communication networks Vol. 26; p. 100322 |
|---|---|
| Main Authors | , |
| Format | Journal Article |
| Language | English |
| Published |
Elsevier B.V
01.11.2020
|
| Subjects | |
| Online Access | Get full text |
| ISSN | 1878-7789 1878-7797 |
| DOI | 10.1016/j.nancom.2020.100322 |
Cover
| Abstract | Increasing the number of processing cores in the networks-on-chip in recent years has made congestion one of the most important challenges in this field. One of the best ways to solve this problem, which has greater effectiveness and less overhead, is the use of congestion-aware routing algorithm. In this algorithm, when a packet is generated, a route is selected from the minimum routes based on the betweenness centrality, history of the previous packets’ routes and the adaptivity degree. The packet tries to move as far as possible in the selected route, and in the event of extreme congestion, it can change its route for limited times and again selects a new route according to the same parameters. To be more in detail, this algorithm is a combination of deterministic and adaptive routings. In order to reduce the overhead, the adaptive routing algorithm uses only the neighboring data. The proposed routing algorithm has been compared with five different algorithms in terms of the average packet latency, power consumption and variance of the switch activities under real and synthetic traffics. In turn, the proposed algorithm has better performance through simulation experiments. |
|---|---|
| AbstractList | Increasing the number of processing cores in the networks-on-chip in recent years has made congestion one of the most important challenges in this field. One of the best ways to solve this problem, which has greater effectiveness and less overhead, is the use of congestion-aware routing algorithm. In this algorithm, when a packet is generated, a route is selected from the minimum routes based on the betweenness centrality, history of the previous packets’ routes and the adaptivity degree. The packet tries to move as far as possible in the selected route, and in the event of extreme congestion, it can change its route for limited times and again selects a new route according to the same parameters. To be more in detail, this algorithm is a combination of deterministic and adaptive routings. In order to reduce the overhead, the adaptive routing algorithm uses only the neighboring data. The proposed routing algorithm has been compared with five different algorithms in terms of the average packet latency, power consumption and variance of the switch activities under real and synthetic traffics. In turn, the proposed algorithm has better performance through simulation experiments. |
| ArticleNumber | 100322 |
| Author | Akbar, R. Safaei, F. |
| Author_xml | – sequence: 1 givenname: R. surname: Akbar fullname: Akbar, R. email: r_akbar@sbu.ac.ir – sequence: 2 givenname: F. surname: Safaei fullname: Safaei, F. email: f_safaei@sbu.ac.ir |
| BookMark | eNqFkM9KAzEQxoNUsNa-gYe8wNZkd80mHoRS_AcFL3rwFLLJbJu6m5Qktvj27rLiwYPOYWYY5vvg-52jifMOELqkZEEJZVe7hVNO-26Rk3w4kSLPT9CU8opnVSWqyc_OxRmax7gjfRWcCyqm6G2JnT9Ai7V3G4jJepepowqAg_9I1m2wajc-2LTt8LHveB_AWD38YetwB3Gb1SqCwQ7S0Yf3mPUOemv3F-i0UW2E-fecodf7u5fVY7Z-fnhaLdeZLghLWVVw0nBNFSM1oyovoCQNCKMZY0ToqlQCTF3XvCk0A2Pqa0GEAEVKY0SpymKGytFXBx9jgEbug-1U-JSUyIGQ3MmRkBwIyZFQL7v5JdM2qSFXCsq2_4lvRzH0wQ4WgozagtM9mgA6SePt3wZfbsSIHA |
| CitedBy_id | crossref_primary_10_1007_s11227_021_04272_8 crossref_primary_10_1109_ACCESS_2022_3227460 crossref_primary_10_1016_j_micpro_2024_105091 crossref_primary_10_1016_j_mejo_2021_105145 |
| Cites_doi | 10.1109/PDP50117.2020.00054 10.1145/1854153.1854178 10.1109/DATE.2012.6176488 10.1109/TVLSI.2010.2091686 10.1016/j.sysarc.2012.10.004 10.1109/PDP.2017.74 10.1145/1146909.1147125 10.1109/HPCA.2008.4658640 10.1109/TPDS.2014.2345065 10.1109/MM.2007.4378787 10.1145/1872007.1872030 10.1016/j.compeleceng.2015.09.023 10.1016/j.future.2016.12.031 10.1145/378239.379048 10.1109/TCAD.2010.2061171 10.1109/NoCS.2013.6558405 10.1109/HPCA.2017.16 10.1145/2000064.2000113 10.1016/j.compeleceng.2018.07.006 10.1016/j.jpdc.2013.09.002 10.1145/3079856.3080253 10.1109/CADS.2017.8310678 10.1145/225830.223990 10.1007/s11227-014-1166-1 10.1109/MICRO.2006.50 10.1109/ISPASS.2013.6557149 10.1109/TC.2018.2821144 10.1109/TVLSI.2010.2066586 10.1145/1403375.1403716 |
| ContentType | Journal Article |
| Copyright | 2020 Elsevier B.V. |
| Copyright_xml | – notice: 2020 Elsevier B.V. |
| DBID | AAYXX CITATION |
| DOI | 10.1016/j.nancom.2020.100322 |
| DatabaseName | CrossRef |
| DatabaseTitle | CrossRef |
| DatabaseTitleList | |
| DeliveryMethod | fulltext_linktorsrc |
| Discipline | Engineering |
| EISSN | 1878-7797 |
| ExternalDocumentID | 10_1016_j_nancom_2020_100322 S1878778920300910 |
| GroupedDBID | --K --M .~1 0R~ 1B1 1~. 4.4 457 4G. 5VS 7-5 8P~ AABXZ AACTN AAEDT AAEDW AAEPC AAIAV AAIKJ AAKOC AALRI AAOAW AAQFI AAXUO AAYFN ABBOA ABJNI ABMAC ABXDB ABXRA ABYKQ ACDAQ ACGFS ACNNM ACRLP ACZNC ADBBV ADEZE ADMUD ADTZH AEBSH AECPX AEKER AEZYN AFKWA AFRZQ AFTJW AGHFR AGUBO AGYEJ AHJVU AHZHX AIALX AIEXJ AIKHN AITUG AJBFU AJOXV ALMA_UNASSIGNED_HOLDINGS AMFUW AMRAJ AOUOD AXJTR BJAXD BKOJK BLXMC EBS EFJIC EFLBG EJD FDB FEDTE FIRID FNPLU FYGXN GBLVA GBOLZ HVGLF HZ~ J1W JJJVA KOM M41 MAGPM MO0 N9A O-L O9- OAUVE P-8 P-9 P2P PC. Q38 RIG ROL SDF SES SPC SPCBC SSM SST SSV SSZ T5K ~G- AATTM AAXKI AAYWO AAYXX ABWVN ACLOT ACRPL ACVFH ADCNI ADNMO AEIPS AEUPX AFJKZ AFPUW AIGII AIIUN AKBMS AKRWK AKYEP ANKPU APXCP CITATION EFKBS ~HD |
| ID | FETCH-LOGICAL-c306t-7380f8c1a60b61a23e40fe9dc66609c74a9edbbb8f3c6eddb59099ea04dd94a43 |
| IEDL.DBID | .~1 |
| ISSN | 1878-7789 |
| IngestDate | Wed Oct 01 02:21:12 EDT 2025 Thu Apr 24 22:51:59 EDT 2025 Fri Feb 23 02:45:20 EST 2024 |
| IsPeerReviewed | true |
| IsScholarly | true |
| Keywords | Congestion information Congestion control management Load balancing Network-on-chip (NoC) Adaptive routing algorithms |
| Language | English |
| LinkModel | DirectLink |
| MergedId | FETCHMERGED-LOGICAL-c306t-7380f8c1a60b61a23e40fe9dc66609c74a9edbbb8f3c6eddb59099ea04dd94a43 |
| ParticipantIDs | crossref_primary_10_1016_j_nancom_2020_100322 crossref_citationtrail_10_1016_j_nancom_2020_100322 elsevier_sciencedirect_doi_10_1016_j_nancom_2020_100322 |
| ProviderPackageCode | CITATION AAYXX |
| PublicationCentury | 2000 |
| PublicationDate | November 2020 2020-11-00 |
| PublicationDateYYYYMMDD | 2020-11-01 |
| PublicationDate_xml | – month: 11 year: 2020 text: November 2020 |
| PublicationDecade | 2020 |
| PublicationTitle | Nano communication networks |
| PublicationYear | 2020 |
| Publisher | Elsevier B.V |
| Publisher_xml | – name: Elsevier B.V |
| References | S. Ma, N.E. Jerger, Z. Wang, DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip categories and subject descriptors, in: Internatinal Symposium on Computer Architecture, ISCA, 2011. Escudero-Sahuquillo (b15) 2014; 74 Maqsood, Bilal, Madani (b33) 2018; 82 C.A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M.S. Yousif, C.R. Das, ViChaR: A dynamic virtual channel regulator for network-on-chip routers, in: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006, pp. 333–346. L. Benini, G. De Micheli, Networks on chip: A new paradigm for systems on chip design, in: Proceedings of the conference on Design, Automation and Test in Europe, 2002, pp. 418–419. M. Ebrahimi, M. Daneshtalab, EbDa: A new theory on design and verification of deadlock-free interconnection networks, in: Internatinal Symposium on Computer Architecture, ISCA, 2017, pp. 1–13. N. Jiang, et al. A detailed and flexible cycle-accurate network-on-chip simulator, in: International Symposium on Performance Analysis of Systems and Software, ISPASS, 2013, pp. 86–96. Farahnakian, Ebrahimi, Daneshtalab, Liljeberg, Plosila (b34) 2014; 68 Akbar, Safaei, Modallalkar (b10) 2016; 51 Jiang (b16) 2013 S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The SPLASH-2 programs: Characterization and methodological considerations, in: International Symposium on Computer Architecture, 1995, pp. 24–36. Newman (b32) 2010 A. Demiriz, H. Ahangari, O. Ozturk, Temperature-aware core mapping for heterogeneous 3D noc design through constraint programming, in: International Conference on Parallel, Distributed and Network-Based Processing, PDP, 2020. Bakhshalipour (b5) 2018; 67 Al-Bahadili (b13) 2012 J.H.J. Hu, R. Marculescu, DyAD - smart routing for networks-on-chip, in: Proceedings Design Automation Conferance, 2004, pp. 260–263. S. Xu, J. Wu, B. Fu, M. Chen, L. Zhang, Efficient Regional Congestion Awareness (ERCA) for load balance with aggregated congestion information, in: International Conference on Parallel, Distributed and Network-based Processing, PDP, 2017, pp. 93–99. J.O. Sosa, O. Sentieys, C. Roland, Adaptive transceiver for wireless noc to enhance multicast/unicast communication scenarios, in: IEEE Computer Society Annual Symposium on VLSI, ISVLSI, 2019. Liu (b28) 2015; 26 Duato, Yalamanchili, Ni (b1) 2003 M. Ramakrishna, P.V. Gratz, A. Sprintson, GCA: Global congestion awareness for load balance in networks-on-chip, in: IEEE/ACM Internatinal Symposium on Networks-on-Chip, NoCS, 2013. M. Ebrahimi, M. Daneshtalab, P. Liljeberg, J. Plosila, H. Tenhunen, CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks, in: Design, Automation & Test in Europe Conference & Exhibition, DATE, 2012. R.S. Ramanujam, B. Lin, Destination-based adaptive routing on 2D mesh networks, in: ACM/IEEE Symposium on Architectures for Networking and Communications Systems, ANCS, 2010. Kahng, Li, Peh, Samadi (b39) 2012; 20 R. Akbar, F. Safaei, A novel congestion-aware and adaptive routing algorithm in mesh-based Networks-on-Chip with segmentation, in: International Symposium onComputer Architecture and Digital Systems, CADS, 2017, pp. 1-6. Akbar, safaei (b17) 2018; 71 P. Gratz, B. Grot, S.W. Keckler, Regional congestion awareness for load balance in networks-on-chip, in: Proceeding of Inernational Symposium on High-Performance Computer Architecture, 2008, pp. 203-214. Palesi, Daneshtalab (b18) 2014 L.P. Tedesco, et al. Implementation and evaluation of a congestion aware routing algorithm for networks-on-chip, in: Proceedings of the 23rd symposium on Integrated circuits and system design, 2010, pp. 91–96, September. P. Lotfi-Kamran, M. Modarressi, H. Sarbazi-Azad, Near-ideal networks-on-chip for servers, in: IEEE International Symposium on High Performance Computer Architecture, HPCA, 2017. Asanovic (b2) 2006 M. Li, Q.A. Zeng, W.B. Jone, DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip, in: ACM/IEEE Design Automation Conference, 2006, 849–852. Modarressi, Tavakkol, Sarbazi-Azad (b11) 2011; 19 Owens (b4) 2007; 27 Sahu, Chattopadhyay (b12) 2013; 59 William J. Dally, Brian Towles, Route packets, not wires: On-chip interconnection networks, in: Proceedings of the 38th Conference on Design Automation, 2001, pp. 684–689. Arjomand, Sarbazi-Azad (b37) 2010; 29 P. Lotfi-Kamran, M. Daneshtalab, C. Lucas, Z. Navabi, BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs, in: Proceedings of the conference on Design, Automation and Test in Europe, 2008, pp. 1408-1413. Bonacich (b31) 2012 Hennessy, Patterson (b3) 2007 Bakhshalipour (10.1016/j.nancom.2020.100322_b5) 2018; 67 Hennessy (10.1016/j.nancom.2020.100322_b3) 2007 Sahu (10.1016/j.nancom.2020.100322_b12) 2013; 59 10.1016/j.nancom.2020.100322_b24 10.1016/j.nancom.2020.100322_b23 10.1016/j.nancom.2020.100322_b26 10.1016/j.nancom.2020.100322_b25 Kahng (10.1016/j.nancom.2020.100322_b39) 2012; 20 10.1016/j.nancom.2020.100322_b27 Arjomand (10.1016/j.nancom.2020.100322_b37) 2010; 29 10.1016/j.nancom.2020.100322_b29 Palesi (10.1016/j.nancom.2020.100322_b18) 2014 10.1016/j.nancom.2020.100322_b40 10.1016/j.nancom.2020.100322_b20 Owens (10.1016/j.nancom.2020.100322_b4) 2007; 27 10.1016/j.nancom.2020.100322_b22 10.1016/j.nancom.2020.100322_b21 Asanovic (10.1016/j.nancom.2020.100322_b2) 2006 Farahnakian (10.1016/j.nancom.2020.100322_b34) 2014; 68 Bonacich (10.1016/j.nancom.2020.100322_b31) 2012 Akbar (10.1016/j.nancom.2020.100322_b10) 2016; 51 10.1016/j.nancom.2020.100322_b6 Duato (10.1016/j.nancom.2020.100322_b1) 2003 Liu (10.1016/j.nancom.2020.100322_b28) 2015; 26 10.1016/j.nancom.2020.100322_b35 10.1016/j.nancom.2020.100322_b9 Jiang (10.1016/j.nancom.2020.100322_b16) 2013 10.1016/j.nancom.2020.100322_b8 10.1016/j.nancom.2020.100322_b7 10.1016/j.nancom.2020.100322_b14 Newman (10.1016/j.nancom.2020.100322_b32) 2010 10.1016/j.nancom.2020.100322_b36 Escudero-Sahuquillo (10.1016/j.nancom.2020.100322_b15) 2014; 74 10.1016/j.nancom.2020.100322_b38 10.1016/j.nancom.2020.100322_b19 Akbar (10.1016/j.nancom.2020.100322_b17) 2018; 71 10.1016/j.nancom.2020.100322_b30 Maqsood (10.1016/j.nancom.2020.100322_b33) 2018; 82 Modarressi (10.1016/j.nancom.2020.100322_b11) 2011; 19 Al-Bahadili (10.1016/j.nancom.2020.100322_b13) 2012 |
| References_xml | – reference: J.O. Sosa, O. Sentieys, C. Roland, Adaptive transceiver for wireless noc to enhance multicast/unicast communication scenarios, in: IEEE Computer Society Annual Symposium on VLSI, ISVLSI, 2019. – year: 2013 ident: b16 article-title: Congestion Control and Adaptive Routing in Large-Scale Interconnection Networks – reference: P. Lotfi-Kamran, M. Modarressi, H. Sarbazi-Azad, Near-ideal networks-on-chip for servers, in: IEEE International Symposium on High Performance Computer Architecture, HPCA, 2017. – reference: P. Lotfi-Kamran, M. Daneshtalab, C. Lucas, Z. Navabi, BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs, in: Proceedings of the conference on Design, Automation and Test in Europe, 2008, pp. 1408-1413. – year: 2007 ident: b3 article-title: Computer Architecture: A Quantitative Approach – year: 2003 ident: b1 article-title: Interconnection Networks: An Engineering Approach – year: 2010 ident: b32 article-title: Networks an Introduction – volume: 68 start-page: 1214 year: 2014 end-page: 1234 ident: b34 article-title: Adaptive load balancing in learning-based approaches for many-core embedded systems publication-title: J. Supercomput. – volume: 67 start-page: 1416 year: 2018 end-page: 1429 ident: b5 article-title: Fast data delivery for many-core processors publication-title: IEEE Trans. Comput. – volume: 74 start-page: 1802 year: 2014 end-page: 1819 ident: b15 article-title: A new proposal to deal with congestion in InfiniBand-based fat-trees publication-title: J. Parallel Distrib. Comput. – reference: S. Xu, J. Wu, B. Fu, M. Chen, L. Zhang, Efficient Regional Congestion Awareness (ERCA) for load balance with aggregated congestion information, in: International Conference on Parallel, Distributed and Network-based Processing, PDP, 2017, pp. 93–99. – volume: 82 start-page: 459 year: 2018 end-page: 471 ident: b33 article-title: Congestion-aware core mapping for Network-on-Chip based systems using betweenness centrality publication-title: Future Gener. Comput. Syst. – reference: N. Jiang, et al. A detailed and flexible cycle-accurate network-on-chip simulator, in: International Symposium on Performance Analysis of Systems and Software, ISPASS, 2013, pp. 86–96. – reference: R. Akbar, F. Safaei, A novel congestion-aware and adaptive routing algorithm in mesh-based Networks-on-Chip with segmentation, in: International Symposium onComputer Architecture and Digital Systems, CADS, 2017, pp. 1-6. – volume: 27 start-page: 96 year: 2007 end-page: 108 ident: b4 article-title: Research challenges for on-chip interconnection networks publication-title: IEEE Micro – volume: 51 start-page: 121 year: 2016 end-page: 138 ident: b10 article-title: A novel power efficient adaptive RED-based flow control mechanism for networks-on-chip publication-title: Comput. Electr. Eng. – reference: C.A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M.S. Yousif, C.R. Das, ViChaR: A dynamic virtual channel regulator for network-on-chip routers, in: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006, pp. 333–346. – reference: J.H.J. Hu, R. Marculescu, DyAD - smart routing for networks-on-chip, in: Proceedings Design Automation Conferance, 2004, pp. 260–263. – start-page: 1170 year: 2012 end-page: 1182 ident: b31 article-title: Power and Centrality: A Family of Measures, Vol. 92 – volume: 29 start-page: 1558 year: 2010 end-page: 1571 ident: b37 article-title: Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits – volume: 59 start-page: 60 year: 2013 end-page: 76 ident: b12 article-title: A survey on application mapping strategies for Network-on-Chip design publication-title: J. Syst. Archit. – volume: 26 start-page: 2272 year: 2015 end-page: 2285 ident: b28 article-title: Non-local adaptive network-on-chip routing with packet-carried propagation of congestion information publication-title: IEEE Trans. Parallel Distrib. Syst. – year: 2014 ident: b18 article-title: Routing Algorithms in Networks-on-Chip – reference: A. Demiriz, H. Ahangari, O. Ozturk, Temperature-aware core mapping for heterogeneous 3D noc design through constraint programming, in: International Conference on Parallel, Distributed and Network-Based Processing, PDP, 2020. – year: 2012 ident: b13 article-title: Simulation in computer network design and modeling: Use and analysis publication-title: Information Science Reference – volume: 71 start-page: 60 year: 2018 end-page: 76 ident: b17 article-title: A novel adaptive congestion-aware and load-balanced routing algorithm in networks-on-chip publication-title: Comput. Electr. Eng. – volume: 20 start-page: 191 year: 2012 end-page: 196 ident: b39 article-title: Orion 2.0: A power-area simulator for interconnection networks publication-title: IEEE Trans. Very Large Scale Integr. (VLSI) Syst. – reference: M. Ebrahimi, M. Daneshtalab, EbDa: A new theory on design and verification of deadlock-free interconnection networks, in: Internatinal Symposium on Computer Architecture, ISCA, 2017, pp. 1–13. – volume: 19 start-page: 2010 year: 2011 end-page: 2022 ident: b11 article-title: Application-aware topology reconfiguration for on-chip networks publication-title: IEEE Trans. Very Large-scale Integr. (VLSI) Syst. – reference: L. Benini, G. De Micheli, Networks on chip: A new paradigm for systems on chip design, in: Proceedings of the conference on Design, Automation and Test in Europe, 2002, pp. 418–419. – year: 2006 ident: b2 article-title: The Landscape of Parallel Computing Research: A View from Berkeley – reference: P. Gratz, B. Grot, S.W. Keckler, Regional congestion awareness for load balance in networks-on-chip, in: Proceeding of Inernational Symposium on High-Performance Computer Architecture, 2008, pp. 203-214. – reference: L.P. Tedesco, et al. Implementation and evaluation of a congestion aware routing algorithm for networks-on-chip, in: Proceedings of the 23rd symposium on Integrated circuits and system design, 2010, pp. 91–96, September. – reference: M. Ebrahimi, M. Daneshtalab, P. Liljeberg, J. Plosila, H. Tenhunen, CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks, in: Design, Automation & Test in Europe Conference & Exhibition, DATE, 2012. – reference: M. Ramakrishna, P.V. Gratz, A. Sprintson, GCA: Global congestion awareness for load balance in networks-on-chip, in: IEEE/ACM Internatinal Symposium on Networks-on-Chip, NoCS, 2013. – reference: S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The SPLASH-2 programs: Characterization and methodological considerations, in: International Symposium on Computer Architecture, 1995, pp. 24–36. – reference: S. Ma, N.E. Jerger, Z. Wang, DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip categories and subject descriptors, in: Internatinal Symposium on Computer Architecture, ISCA, 2011. – reference: M. Li, Q.A. Zeng, W.B. Jone, DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip, in: ACM/IEEE Design Automation Conference, 2006, 849–852. – reference: R.S. Ramanujam, B. Lin, Destination-based adaptive routing on 2D mesh networks, in: ACM/IEEE Symposium on Architectures for Networking and Communications Systems, ANCS, 2010. – reference: William J. Dally, Brian Towles, Route packets, not wires: On-chip interconnection networks, in: Proceedings of the 38th Conference on Design Automation, 2001, pp. 684–689. – ident: 10.1016/j.nancom.2020.100322_b9 – ident: 10.1016/j.nancom.2020.100322_b8 doi: 10.1109/PDP50117.2020.00054 – ident: 10.1016/j.nancom.2020.100322_b7 – ident: 10.1016/j.nancom.2020.100322_b24 doi: 10.1145/1854153.1854178 – ident: 10.1016/j.nancom.2020.100322_b27 doi: 10.1109/DATE.2012.6176488 – volume: 20 start-page: 191 issue: 1 year: 2012 ident: 10.1016/j.nancom.2020.100322_b39 article-title: Orion 2.0: A power-area simulator for interconnection networks publication-title: IEEE Trans. Very Large Scale Integr. (VLSI) Syst. doi: 10.1109/TVLSI.2010.2091686 – volume: 59 start-page: 60 issue: 1 year: 2013 ident: 10.1016/j.nancom.2020.100322_b12 article-title: A survey on application mapping strategies for Network-on-Chip design publication-title: J. Syst. Archit. doi: 10.1016/j.sysarc.2012.10.004 – ident: 10.1016/j.nancom.2020.100322_b29 doi: 10.1109/PDP.2017.74 – ident: 10.1016/j.nancom.2020.100322_b22 doi: 10.1145/1146909.1147125 – year: 2006 ident: 10.1016/j.nancom.2020.100322_b2 – year: 2007 ident: 10.1016/j.nancom.2020.100322_b3 – ident: 10.1016/j.nancom.2020.100322_b25 doi: 10.1109/HPCA.2008.4658640 – start-page: 1170 year: 2012 ident: 10.1016/j.nancom.2020.100322_b31 – year: 2010 ident: 10.1016/j.nancom.2020.100322_b32 – year: 2014 ident: 10.1016/j.nancom.2020.100322_b18 – volume: 26 start-page: 2272 issue: 8 year: 2015 ident: 10.1016/j.nancom.2020.100322_b28 article-title: Non-local adaptive network-on-chip routing with packet-carried propagation of congestion information publication-title: IEEE Trans. Parallel Distrib. Syst. doi: 10.1109/TPDS.2014.2345065 – volume: 27 start-page: 96 issue: 5 year: 2007 ident: 10.1016/j.nancom.2020.100322_b4 article-title: Research challenges for on-chip interconnection networks publication-title: IEEE Micro doi: 10.1109/MM.2007.4378787 – ident: 10.1016/j.nancom.2020.100322_b30 doi: 10.1145/1872007.1872030 – volume: 51 start-page: 121 year: 2016 ident: 10.1016/j.nancom.2020.100322_b10 article-title: A novel power efficient adaptive RED-based flow control mechanism for networks-on-chip publication-title: Comput. Electr. Eng. doi: 10.1016/j.compeleceng.2015.09.023 – volume: 82 start-page: 459 year: 2018 ident: 10.1016/j.nancom.2020.100322_b33 article-title: Congestion-aware core mapping for Network-on-Chip based systems using betweenness centrality publication-title: Future Gener. Comput. Syst. doi: 10.1016/j.future.2016.12.031 – ident: 10.1016/j.nancom.2020.100322_b6 doi: 10.1145/378239.379048 – volume: 29 start-page: 1558 issue: 10 year: 2010 ident: 10.1016/j.nancom.2020.100322_b37 article-title: Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits doi: 10.1109/TCAD.2010.2061171 – ident: 10.1016/j.nancom.2020.100322_b20 doi: 10.1109/NoCS.2013.6558405 – ident: 10.1016/j.nancom.2020.100322_b19 doi: 10.1109/HPCA.2017.16 – ident: 10.1016/j.nancom.2020.100322_b26 doi: 10.1145/2000064.2000113 – year: 2003 ident: 10.1016/j.nancom.2020.100322_b1 – volume: 71 start-page: 60 year: 2018 ident: 10.1016/j.nancom.2020.100322_b17 article-title: A novel adaptive congestion-aware and load-balanced routing algorithm in networks-on-chip publication-title: Comput. Electr. Eng. doi: 10.1016/j.compeleceng.2018.07.006 – ident: 10.1016/j.nancom.2020.100322_b21 – year: 2013 ident: 10.1016/j.nancom.2020.100322_b16 – volume: 74 start-page: 1802 issue: 1 year: 2014 ident: 10.1016/j.nancom.2020.100322_b15 article-title: A new proposal to deal with congestion in InfiniBand-based fat-trees publication-title: J. Parallel Distrib. Comput. doi: 10.1016/j.jpdc.2013.09.002 – year: 2012 ident: 10.1016/j.nancom.2020.100322_b13 article-title: Simulation in computer network design and modeling: Use and analysis – ident: 10.1016/j.nancom.2020.100322_b36 doi: 10.1145/3079856.3080253 – ident: 10.1016/j.nancom.2020.100322_b14 doi: 10.1109/CADS.2017.8310678 – ident: 10.1016/j.nancom.2020.100322_b40 doi: 10.1145/225830.223990 – volume: 68 start-page: 1214 issue: 3 year: 2014 ident: 10.1016/j.nancom.2020.100322_b34 article-title: Adaptive load balancing in learning-based approaches for many-core embedded systems publication-title: J. Supercomput. doi: 10.1007/s11227-014-1166-1 – ident: 10.1016/j.nancom.2020.100322_b35 doi: 10.1109/MICRO.2006.50 – ident: 10.1016/j.nancom.2020.100322_b38 doi: 10.1109/ISPASS.2013.6557149 – volume: 67 start-page: 1416 issue: 10 year: 2018 ident: 10.1016/j.nancom.2020.100322_b5 article-title: Fast data delivery for many-core processors publication-title: IEEE Trans. Comput. doi: 10.1109/TC.2018.2821144 – volume: 19 start-page: 2010 issue: 11 year: 2011 ident: 10.1016/j.nancom.2020.100322_b11 article-title: Application-aware topology reconfiguration for on-chip networks publication-title: IEEE Trans. Very Large-scale Integr. (VLSI) Syst. doi: 10.1109/TVLSI.2010.2066586 – ident: 10.1016/j.nancom.2020.100322_b23 doi: 10.1145/1403375.1403716 |
| SSID | ssj0000388919 |
| Score | 2.2419362 |
| Snippet | Increasing the number of processing cores in the networks-on-chip in recent years has made congestion one of the most important challenges in this field. One... |
| SourceID | crossref elsevier |
| SourceType | Enrichment Source Index Database Publisher |
| StartPage | 100322 |
| SubjectTerms | Adaptive routing algorithms Congestion control management Congestion information Load balancing Network-on-chip (NoC) |
| Title | A novel congestion-aware routing algorithm with prediction in mesh-based networks-on-chip |
| URI | https://dx.doi.org/10.1016/j.nancom.2020.100322 |
| Volume | 26 |
| hasFullText | 1 |
| inHoldings | 1 |
| isFullTextHit | |
| isPrint | |
| journalDatabaseRights | – providerCode: PRVESC databaseName: Baden-Württemberg Complete Freedom Collection (Elsevier) customDbUrl: eissn: 1878-7797 dateEnd: 99991231 omitProxy: true ssIdentifier: ssj0000388919 issn: 1878-7789 databaseCode: GBLVA dateStart: 20110101 isFulltext: true titleUrlDefault: https://www.sciencedirect.com providerName: Elsevier – providerCode: PRVESC databaseName: Elsevier ScienceDirect customDbUrl: eissn: 1878-7797 dateEnd: 99991231 omitProxy: true ssIdentifier: ssj0000388919 issn: 1878-7789 databaseCode: .~1 dateStart: 20100301 isFulltext: true titleUrlDefault: https://www.sciencedirect.com providerName: Elsevier – providerCode: PRVESC databaseName: Elsevier SD Complete Freedom Collection [SCCMFC] customDbUrl: eissn: 1878-7797 dateEnd: 99991231 omitProxy: true ssIdentifier: ssj0000388919 issn: 1878-7789 databaseCode: ACRLP dateStart: 20100301 isFulltext: true titleUrlDefault: https://www.sciencedirect.com providerName: Elsevier – providerCode: PRVESC databaseName: Elsevier SD Freedom Collection Journals [SCFCJ] - NZ customDbUrl: eissn: 1878-7797 dateEnd: 99991231 omitProxy: true ssIdentifier: ssj0000388919 issn: 1878-7789 databaseCode: AIKHN dateStart: 20100301 isFulltext: true titleUrlDefault: https://www.sciencedirect.com providerName: Elsevier – providerCode: PRVLSH databaseName: Elsevier Journals customDbUrl: mediaType: online eissn: 1878-7797 dateEnd: 99991231 omitProxy: true ssIdentifier: ssj0000388919 issn: 1878-7789 databaseCode: AKRWK dateStart: 20100301 isFulltext: true providerName: Library Specific Holdings |
| link | http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV1LS8NAEF5KvehBfGJ9lD14XZtmt0n2WIqlKvaihXoK-4qNtElIW735293Jo1QQBY9JZkL4MszM7s43g9C1ksyJJKeERSwizKeUSJvXk0jZYMagx1jBW3sce6MJu5_2pg00qLkwUFZZ-f7SpxfeurrTqdDsZHHceeoG1tj8gLvWTiHqAYOd-TDF4Oazu9lngW4nvJjvAfIEFGoGXVHmBW0tUqCku0XFAHXdnyPUVtQZHqD9Kl3E_fKLDlHDJEdob6uJ4DF66eMkfTdzbFe2cFhkgSbiQ-QG5-kaipqxmL-mebyaLTDsuuIsh8MZkMNxghdmOSMQyzROypLwJbFvULM4O0GT4e3zYESqgQlE2cx_RXwaOFGgusJzpNcVLjX2RxiulV2jOFz5THCjpZRBRJVntJY9bhNEIxymNWeC0VPUTNLEnCHMXWMzJUc7PUcw1YvsY-opoSNmxbXgLURrkEJVdROHoRbzsC4bewtLaEOANiyhbSGy0crKbhp_yPs1_uE3qwitw_9V8_zfmhdoF65KvuElaq7ytbmyicdKtgvLaqOd_t3DaPwFnkvYmw |
| linkProvider | Elsevier |
| linkToHtml | http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV3JTsMwELVYDsABsYodH7iaprGz-FghUNl6oZXKKfIWGtQmUWjhxrfjyVIVCYHENfZE0cto5o09C0IXSjInlpwSFrOYsIBSIi2vJ7GyzoxBj7Gybu2x53cH7G7oDZfQVVMLA2mVte2vbHppresnrRrNVp4krad2aJUtCLlr9RS83jJaZZ4bQAR2-dmeH7RAuxNeDvgAAQISTQldmecFfS0yqEl3y5QB6ro_u6gFt3OzhTZrvog71SdtoyWT7qCNhS6Cu-i5g9Ps3YyxDW3htsgiTcSHKAwushlkNWMxfsmKZDqaYDh2xXkBtzOwDycpnpi3EQFnpnFa5YS_EfsGNUryPTS4ue5fdUk9MYEoS_2nJKChE4eqLXxH-m3hUmP_hOFa2SDF4SpgghstpQxjqnyjtfS4ZYhGOExrzgSj-2glzVJzgDB3jaVKjnY8RzDlxXaZ-kromNntWvBDRBuQIlW3E4epFuOoyRt7jSpoI4A2qqA9RGQulVftNP7YHzT4R9_UIrIW_1fJo39LnqO1bv_xIXq47d0fo3VYqYoPT9DKtJiZU8tCpvKs1LIvxHXaMA |
| openUrl | ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=A+novel+congestion-aware+routing+algorithm+with+prediction+in+mesh-based+networks-on-chip&rft.jtitle=Nano+communication+networks&rft.au=Akbar%2C+R.&rft.au=Safaei%2C+F.&rft.date=2020-11-01&rft.pub=Elsevier+B.V&rft.issn=1878-7789&rft.eissn=1878-7797&rft.volume=26&rft_id=info:doi/10.1016%2Fj.nancom.2020.100322&rft.externalDocID=S1878778920300910 |
| thumbnail_l | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=1878-7789&client=summon |
| thumbnail_m | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=1878-7789&client=summon |
| thumbnail_s | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=1878-7789&client=summon |