A congestion-aware routing algorithm for mesh-based platform networks-on-chip

In this paper we propose a new congestion-aware routing algorithm. At the First step, this algorithm splits NoC into a number of subnets. Then a global routing algorithm within each subnet and a local routing algorithm between subnets are applied for routing. This issue combines the advantages of lo...

Full description

Saved in:
Bibliographic Details
Published inMicroelectronics Vol. 114; p. 105145
Main Authors Taherkhani, N., Akbar, R., Safaei, F., Moudi, M.
Format Journal Article
LanguageEnglish
Published Elsevier Ltd 01.08.2021
Subjects
Online AccessGet full text
ISSN1879-2391
DOI10.1016/j.mejo.2021.105145

Cover

Abstract In this paper we propose a new congestion-aware routing algorithm. At the First step, this algorithm splits NoC into a number of subnets. Then a global routing algorithm within each subnet and a local routing algorithm between subnets are applied for routing. This issue combines the advantages of local and global algorithms together. This algorithm reduces the average latency of the packets in the system without increasing the power of the system. In the experiment that was conducted under uniform, transpose, and bit-reversal traffics, we found that this algorithm would go into the saturation state later than DyAD, CATRA and ERCA algorithms. In all other three algorithms and also, at all injection rates, our proposed algorithm has reduced the delayed average of 41.1% compared to DyAD, 17.2% compared to CATRA and 9.9% compared to ERCA. In addition, under the SPLASH 2 traffic, we found that package latency has improved by 24%, 17%, and 7% compared to the three DyAD, CATRA and ERCA algorithms respectively.
AbstractList In this paper we propose a new congestion-aware routing algorithm. At the First step, this algorithm splits NoC into a number of subnets. Then a global routing algorithm within each subnet and a local routing algorithm between subnets are applied for routing. This issue combines the advantages of local and global algorithms together. This algorithm reduces the average latency of the packets in the system without increasing the power of the system. In the experiment that was conducted under uniform, transpose, and bit-reversal traffics, we found that this algorithm would go into the saturation state later than DyAD, CATRA and ERCA algorithms. In all other three algorithms and also, at all injection rates, our proposed algorithm has reduced the delayed average of 41.1% compared to DyAD, 17.2% compared to CATRA and 9.9% compared to ERCA. In addition, under the SPLASH 2 traffic, we found that package latency has improved by 24%, 17%, and 7% compared to the three DyAD, CATRA and ERCA algorithms respectively.
ArticleNumber 105145
Author Akbar, R.
Taherkhani, N.
Moudi, M.
Safaei, F.
Author_xml – sequence: 1
  givenname: N.
  surname: Taherkhani
  fullname: Taherkhani, N.
  email: n_taherkhani@qiau.ac.ir
  organization: Faculty of Computer and Information Technology Engineering, Qazvin Branch, Islamic Azad University, Qazvin, Iran
– sequence: 2
  givenname: R.
  surname: Akbar
  fullname: Akbar, R.
  email: r_akbar@sbu.ac.ir
  organization: Faculty of Computer Science and Engineering, Shahid Beheshti University, Tehran, Iran
– sequence: 3
  givenname: F.
  surname: Safaei
  fullname: Safaei, F.
  email: f_safaei@sbu.ac.ir
  organization: Faculty of Computer Science and Engineering, Shahid Beheshti University, Tehran, Iran
– sequence: 4
  givenname: M.
  surname: Moudi
  fullname: Moudi, M.
  email: mmoudi@torbath.ac.ir
  organization: Department of Computer Engineering, University of Torbat Heydarieh, Razavi Khorasan Province, Iran
BookMark eNp9kL1OwzAURj0UiRZ4Aaa8gItvnDSxxFJV_ElFLDBbjnPdOiR2ZRsq3p5EZWLodKUjnSt9Z0Fmzjsk5BbYEhis7rrlgJ1f5iyHEZRQlDMyh7oSNOcCLskixo4xVlZ5MSev60x7t8OYrHdUHVXALPivZN0uU_3OB5v2Q2Z8yAaMe9qoiG126FUa0ZA5TEcfPiMdXb23h2tyYVQf8ebvXpGPx4f3zTPdvj29bNZbqnPOEm2bqlG8MS3jaFoDzBhe5Q2OpBRKmBoKFJxr0IUyGmuhagZQrSohOFSg-RXJT3918DEGNPIQ7KDCjwQmpwiyk1MEOUWQpwijVP-TtE1q2p2Csv159f6k4jjq22KQUVt0GlsbUCfZentO_wVtt330
CitedBy_id crossref_primary_10_1016_j_mejo_2021_105338
crossref_primary_10_1109_ACCESS_2022_3227460
crossref_primary_10_23919_ICS_2024_3515003
crossref_primary_10_1002_dac_5602
crossref_primary_10_1155_2022_6391678
Cites_doi 10.1016/j.micpro.2016.11.013
10.1016/j.compeleceng.2015.09.023
10.1007/s11227-018-2515-2
10.1109/TPDS.2012.303
10.29292/jics.v3i1.281
10.1016/j.mejo.2019.04.005
10.1007/s11227-015-1439-3
10.1007/s11227-014-1166-1
10.1109/TPDS.2014.2345065
10.1016/j.nancom.2020.100322
10.4018/IJERTCS.2020070103
10.1016/j.mejo.2019.01.002
10.1109/TVLSI.2010.2091686
10.1016/j.compeleceng.2018.07.006
10.1109/TC.2018.2821144
10.1109/TPDS.2015.2474375
ContentType Journal Article
Copyright 2021 Elsevier Ltd
Copyright_xml – notice: 2021 Elsevier Ltd
DBID AAYXX
CITATION
DOI 10.1016/j.mejo.2021.105145
DatabaseName CrossRef
DatabaseTitle CrossRef
DatabaseTitleList
DeliveryMethod fulltext_linktorsrc
Discipline Engineering
ExternalDocumentID 10_1016_j_mejo_2021_105145
S0026269221001567
GroupedDBID --K
--M
.~1
0R~
123
1B1
1~.
1~5
29M
4.4
457
4G.
5VS
7-5
71M
8P~
9JN
AABNK
AABXZ
AACTN
AAEDT
AAEDW
AAEPC
AAIKJ
AAKOC
AALRI
AAOAW
AAQFI
AAXKI
AAXUO
AAYFN
ABBOA
ABDPE
ABFNM
ABJNI
ABMAC
ABTAH
ABXDB
ABXRA
ACDAQ
ACNNM
ACRLP
ACZNC
ADBBV
ADEZE
ADJOM
ADTZH
AEBSH
AECPX
AEIPS
AEKER
AENEX
AEZYN
AFJKZ
AFRZQ
AFTJW
AGHFR
AGUBO
AGYEJ
AHHHB
AHJVU
AHZHX
AIALX
AIEXJ
AIKHN
AITUG
AKRWK
ALMA_UNASSIGNED_HOLDINGS
AMRAJ
ANKPU
AOUOD
ASPBG
AVWKF
AXJTR
AZFZN
BJAXD
BKOJK
BLXMC
CS3
DU5
EBS
EFJIC
EJD
EO8
EO9
EP2
EP3
F5P
FDB
FIRID
FNPLU
FYGXN
G-2
G-Q
GBLVA
GBOLZ
HZ~
IHE
J1W
JJJVA
KOM
LY7
M24
M41
MAGPM
MO0
N9A
O-L
O9-
OAUVE
OZT
P-8
P-9
P2P
PC.
Q38
RIG
RNS
ROL
RPZ
SDF
SDG
SDP
SES
SET
SEW
SMS
SPC
SPCBC
SPD
SSM
SST
SSV
SSZ
T5K
WUQ
XPP
ZMT
ZY4
~G-
AATTM
AAYWO
AAYXX
ACLOT
ACVFH
ADCNI
AEUPX
AFPUW
AIGII
AIIUN
AKBMS
AKYEP
APXCP
CITATION
EFKBS
EFLBG
~HD
ID FETCH-LOGICAL-c230t-db7ba3bfd03efdf10ff372bebfd59a9f814e933c1c4afce89a8011767993171c3
IEDL.DBID .~1
ISSN 1879-2391
IngestDate Thu Apr 24 23:10:41 EDT 2025
Wed Oct 01 02:54:24 EDT 2025
Sat Mar 22 15:53:42 EDT 2025
IsPeerReviewed true
IsScholarly true
Keywords Congestion information
Congestion control management
Load balancing
Network-on-chip (NoC)
Adaptive routing algorithms
Language English
LinkModel DirectLink
MergedId FETCHMERGED-LOGICAL-c230t-db7ba3bfd03efdf10ff372bebfd59a9f814e933c1c4afce89a8011767993171c3
ParticipantIDs crossref_primary_10_1016_j_mejo_2021_105145
crossref_citationtrail_10_1016_j_mejo_2021_105145
elsevier_sciencedirect_doi_10_1016_j_mejo_2021_105145
ProviderPackageCode CITATION
AAYXX
PublicationCentury 2000
PublicationDate August 2021
2021-08-00
PublicationDateYYYYMMDD 2021-08-01
PublicationDate_xml – month: 08
  year: 2021
  text: August 2021
PublicationDecade 2020
PublicationTitle Microelectronics
PublicationYear 2021
Publisher Elsevier Ltd
Publisher_xml – name: Elsevier Ltd
References Kumar, Laxmi, Gaur, Ko, Zwolinski (bib15) 2014
Rezaei-Ravari, Sattari-Naeini (bib10) 2018; 74
Tang, Lin, Palesi (bib39) 2016; 27
Li, Zeng, Jone (bib31) 2006
Ebrahimi, Daneshtalab, Liljeberg, Plosila, Tenhunen (bib38) 2011
(bib6) 2011
Ramanujam, Lin (bib40) 2010
Al-Bahadili (bib14) 2012
Bolbol (bib12) 2012
Salaheldin, Mostafa, Soliman (bib3) 2019; 88
Ran, Cidon, Kolodny, Walter, Wimer (bib25) 2011; vol. 9
Lotfi-Kamran (bib27) 2015; 71
Nilsson, Millberg, Oberg, Jantsch (bib29) 2003; vol. 1
Akbar, Safaei (bib13) 2017
Gratz, Grot, Keckler (bib20) 2008
Alfaraj, Zhang, Xu, Chao (bib16) 2011
Akbar, safaei, Modallalkar (bib24) 2016; 51
Liu (bib36) 2015; 26
Dally, Towles (bib4) 2004
Sosa, Sentieys, Roland (bib9) 2019
Farahnakian, Ebrahimi, Daneshtalab, Liljeberg, Plosila (bib21) 2014; 68
Woo, Ohara, Torrie, Singh, Gupta (bib45) 1995
Escudero-Sahuquillo, Garcia, Quiles, Flich, Duato (bib17) 2013; 24
Hu, Marculescu (bib30) 2004
Akbar, Safaei (bib11) 2020; 26
Jiang (bib43) 2013
Ma, Enright Jerger, Wang (bib34) 2011; vol. 39
Ramakrishna, Gratz, Sprintson (bib26) 2013
Liu, Ma, Zhu (bib33) 2019; 84
Demiriz, Ahangari, Ozturk (bib8) 2020
Lotfi-Kamran, Daneshtalab, Lucas, Navabi (bib32) 2008
Xu, Wu, Fu, Chen, Zhang (bib37) 2017
Akbar, Safaei, Khodadad (bib46) 2018; 71
Tedesco, Calazans, Moraes (bib2) 2008; 3
Pasricha, Dutt (bib5) 2010
Li, Zeng, Jone (bib22) 2006
Lee, Hsin, Chen, Chang, Wu (bib19) 2014
Nicopoulos, Park, Kim, Vijaykrishnan, Yousif, Das (bib41) 2006
Duato, Yalamanchili, Ni (bib1) 2003
Ebrahimi, Daneshtalab, Liljeberg, Plosila, Tenhunen (bib35) 2012
Ebrahimi, Daneshtalab (bib42) 2017
Xie, Cai, Xin, Yang (bib23) 2017; 49
Kahng, Li, Peh, Samadi (bib44) 2012; 20
Chawki-Touati, Boutekkouk (bib28) 2020; 11
Nychis, Fallin, Moscibroda, Seshan, Mutlu (bib18) 2011; vol. 3
Bakhshalipour (bib7) 2018; 67
Akbar (10.1016/j.mejo.2021.105145_bib13) 2017
Akbar (10.1016/j.mejo.2021.105145_bib46) 2018; 71
Gratz (10.1016/j.mejo.2021.105145_bib20) 2008
Lotfi-Kamran (10.1016/j.mejo.2021.105145_bib32) 2008
Liu (10.1016/j.mejo.2021.105145_bib33) 2019; 84
Dally (10.1016/j.mejo.2021.105145_bib4) 2004
Jiang (10.1016/j.mejo.2021.105145_bib43) 2013
(10.1016/j.mejo.2021.105145_bib6) 2011
Xie (10.1016/j.mejo.2021.105145_bib23) 2017; 49
Alfaraj (10.1016/j.mejo.2021.105145_bib16) 2011
Nicopoulos (10.1016/j.mejo.2021.105145_bib41) 2006
Pasricha (10.1016/j.mejo.2021.105145_bib5) 2010
Rezaei-Ravari (10.1016/j.mejo.2021.105145_bib10) 2018; 74
Sosa (10.1016/j.mejo.2021.105145_bib9) 2019
Liu (10.1016/j.mejo.2021.105145_bib36) 2015; 26
Li (10.1016/j.mejo.2021.105145_bib31) 2006
Escudero-Sahuquillo (10.1016/j.mejo.2021.105145_bib17) 2013; 24
Akbar (10.1016/j.mejo.2021.105145_bib11) 2020; 26
Xu (10.1016/j.mejo.2021.105145_bib37) 2017
Woo (10.1016/j.mejo.2021.105145_bib45) 1995
Duato (10.1016/j.mejo.2021.105145_bib1) 2003
Ma (10.1016/j.mejo.2021.105145_bib34) 2011; vol. 39
Tedesco (10.1016/j.mejo.2021.105145_bib2) 2008; 3
Kahng (10.1016/j.mejo.2021.105145_bib44) 2012; 20
Tang (10.1016/j.mejo.2021.105145_bib39) 2016; 27
Ebrahimi (10.1016/j.mejo.2021.105145_bib38) 2011
Hu (10.1016/j.mejo.2021.105145_bib30) 2004
Salaheldin (10.1016/j.mejo.2021.105145_bib3) 2019; 88
Ramakrishna (10.1016/j.mejo.2021.105145_bib26) 2013
Demiriz (10.1016/j.mejo.2021.105145_bib8) 2020
Al-Bahadili (10.1016/j.mejo.2021.105145_bib14) 2012
Nychis (10.1016/j.mejo.2021.105145_bib18) 2011; vol. 3
Farahnakian (10.1016/j.mejo.2021.105145_bib21) 2014; 68
Ebrahimi (10.1016/j.mejo.2021.105145_bib35) 2012
Chawki-Touati (10.1016/j.mejo.2021.105145_bib28) 2020; 11
Lotfi-Kamran (10.1016/j.mejo.2021.105145_bib27) 2015; 71
Lee (10.1016/j.mejo.2021.105145_bib19) 2014
Kumar (10.1016/j.mejo.2021.105145_bib15) 2014
Ramanujam (10.1016/j.mejo.2021.105145_bib40) 2010
Akbar (10.1016/j.mejo.2021.105145_bib24) 2016; 51
Nilsson (10.1016/j.mejo.2021.105145_bib29) 2003; vol. 1
Bakhshalipour (10.1016/j.mejo.2021.105145_bib7) 2018; 67
Bolbol (10.1016/j.mejo.2021.105145_bib12) 2012
Ran (10.1016/j.mejo.2021.105145_bib25) 2011; vol. 9
Li (10.1016/j.mejo.2021.105145_bib22) 2006
Ebrahimi (10.1016/j.mejo.2021.105145_bib42) 2017
References_xml – start-page: 93
  year: 2017
  end-page: 99
  ident: bib37
  article-title: Efficient regional congestion awareness (ERCA) for load balance with aggregated congestion information
  publication-title: Parallel, Distributed and Network-Based Processing (PDP), 2017 25th Euromicro International Conference on
– volume: 24
  start-page: 1918
  year: 2013
  end-page: 1929
  ident: bib17
  article-title: An effective and feasible congestion management technique for high-performance MINs with tag-based distributed routing
  publication-title: IEEE Trans. Parallel Distr. Syst.
– start-page: 17
  year: 2011
  end-page: 24
  ident: bib16
  article-title: Hope: hotspot congestion control for clos network on chip
  publication-title: Networks on Chip (NoCS), 2011 Fifth IEEE/ACM International Symposium on
– volume: 3
  start-page: 46
  year: 2008
  end-page: 56
  ident: bib2
  article-title: Buffer sizing for multimedia flows in packet-switching NoCs
  publication-title: Journal Integrated Circuits and Systems
– start-page: 849
  year: 2006
  end-page: 852
  ident: bib31
  article-title: DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip
  publication-title: Proceedings of the 43rd Annual Design Automation Conference
– start-page: 240
  year: 2014
  end-page: 245
  ident: bib15
  article-title: CARM: congestion adaptive routing method for on chip networks
  publication-title: Proceedings of the IEEE International Conference on VLSI Design
– year: 2003
  ident: bib1
  article-title: Interconnection Networks: an Engineering Approach
– year: 2010
  ident: bib5
  article-title: On-chip Communication Architectures: System on Chip Interconnect
– start-page: 86
  year: 2013
  end-page: 96
  ident: bib43
  article-title: A detailed and flexible cycle-accurate network-on-chip simulator
  publication-title: Performance Analysis of Systems and Software (ISPASS), 2013 IEEE International Symposium on
– year: 2011
  ident: bib6
  article-title: Technology Roadmap for Semiconductors (ITRS)
– start-page: 333
  year: 2006
  end-page: 346
  ident: bib41
  article-title: ViChaR: a dynamic virtual channel regulator for network-on-chip routers
  publication-title: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
– year: 2020
  ident: bib8
  article-title: Temperature-aware core mapping for heterogeneous 3D noc design through constraint programming
  publication-title: International Conference on Parallel, Distributed and Network-Based Processing
– volume: 11
  start-page: 48
  year: 2020
  end-page: 66
  ident: bib28
  article-title: Reliable weighted globally congestion aware routing for network on chip
  publication-title: Int. J. Embed. Real-Time Commun. Syst. (IJERTCS)
– volume: vol. 39
  start-page: 413
  year: 2011
  end-page: 424
  ident: bib34
  article-title: DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip
  publication-title: ACM SIGARCH Computer Architecture News
– year: 2004
  ident: bib4
  article-title: Principles and Practices of Interconnection Networks
– year: 2012
  ident: bib12
  article-title: Congestion Management in Interconnection Networks
– start-page: 1
  year: 2014
  end-page: 4
  ident: bib19
  article-title: Thermal-Aware dynamic buffer allocation for proactive routing algorithm on 3D network-on-chip systems
  publication-title: VLSI Design, Automation and Test (VLSI-DAT), 2014 International Symposium on
– start-page: 849
  year: 2006
  end-page: 852
  ident: bib22
  article-title: DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip
  publication-title: Proceedings of the 43rd Annual Design Automation Conference
– volume: 27
  start-page: 2062
  year: 2016
  end-page: 2073
  ident: bib39
  article-title: Local congestion avoidance in Network-on-Chip
  publication-title: IEEE Trans. Parallel Distr. Syst.
– start-page: 203
  year: 2008
  end-page: 214
  ident: bib20
  article-title: Regional congestion awareness for load balance in networks-on-chip
  publication-title: International Symposium on High Performance Computer Architecture (HPCA)
– start-page: 1
  year: 2017
  end-page: 13
  ident: bib42
  article-title: EbDa: a new theory on design and verification of deadlock-free interconnection networks
  publication-title: ISCA
– volume: 67
  start-page: 1416
  year: 2018
  end-page: 1429
  ident: bib7
  article-title: Fast data delivery for many-core processors
  publication-title: IEEE Trans. Comput.
– start-page: 1408
  year: 2008
  end-page: 1413
  ident: bib32
  article-title: BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs
  publication-title: Proceedings of the Conference on Design, Automation and Test in Europe
– year: 2019
  ident: bib9
  article-title: Adaptive transceiver for wireless NoC to enhance multicast/unicast communication scenarios
  publication-title: IEEE Computer Society Annual Symposium on VLSI
– start-page: 2013
  year: 2013
  ident: bib26
  article-title: GCA: Global congestion awareness for load balance in networks-on-chip
  publication-title: 2013 7th IEEE/ACM Int. Symp. Networks-on-Chip, NoCS
– start-page: 284
  year: 2011
  end-page: 289
  ident: bib38
  article-title: Agent-based on-chip network using efficient selection method
  publication-title: IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC)
– volume: vol. 3
  year: 2011
  ident: bib18
  publication-title: Congestion Control for Scalability in Bufferless On-Chip Networks
– volume: 88
  start-page: 47
  year: 2019
  end-page: 55
  ident: bib3
  article-title: Design exploration for network on chip based FPGAs: 2D and 3D tiles to router interface
  publication-title: Microelectron. J.
– start-page: 320
  year: 2012
  end-page: 325
  ident: bib35
  article-title: CATRA-congestion aware trapezoid-based routing algorithm for on-chip networks
  publication-title: Design, Automation & Test in Europe Conference & Exhibition (DATE)
– volume: 84
  start-page: 96
  year: 2019
  end-page: 105
  ident: bib33
  article-title: An encapsulated packet-selection routing for network on chip
  publication-title: Microelectron. J.
– volume: 49
  start-page: 117
  year: 2017
  end-page: 126
  ident: bib23
  article-title: MCAR: non-local adaptive Network-on-Chip routing with message propagation of congestion information
  publication-title: Microprocess. Microsyst.
– volume: vol. 1
  start-page: 11126
  year: 2003
  ident: bib29
  article-title: Load distribution with the proximity congestion awareness in a network on chip
  publication-title: Proceedings of the Conference on Design, Automation and Test in Europe
– volume: 26
  start-page: 2272
  year: 2015
  end-page: 2285
  ident: bib36
  article-title: FreeRider: non-local adaptive network-on-chip routing with packet-carried propagation of congestion information
  publication-title: IEEE Trans. Parallel Distr. Syst.
– volume: 71
  start-page: 3419
  year: 2015
  end-page: 3439
  ident: bib27
  article-title: Per-packet global congestion estimation for fast packet delivery in networks-on-chip
  publication-title: J. Supercomput.
– year: 2004
  ident: bib30
  article-title: DyAD: Smart Routing for Networks-On-Chip
– volume: vol. 9
  start-page: 39
  year: 2011
  end-page: 46
  ident: bib25
  article-title: A cost effective centralized adaptive routing for networks-on-chip
  publication-title: Proc. - 2011 14th Euromicro Conf. Digit. Syst. Des. Archit. Methods Tools, DSD 2011
– start-page: 24
  year: 1995
  end-page: 36
  ident: bib45
  article-title: The SPLASH-2 programs: characterization and methodological considerations
  publication-title: Computer Architecture, 1995. Proceedings., 22nd Annual International Symposium on
– volume: 74
  start-page: 6102
  year: 2018
  end-page: 6125
  ident: bib10
  article-title: Reliable congestion-aware path prediction mechanism in 2D NoCs based on EFuNN
  publication-title: J. Supercomput.
– volume: 68
  start-page: 1214
  year: 2014
  end-page: 1234
  ident: bib21
  article-title: Adaptive load balancing in learning-based approaches for many-core embedded systems
  publication-title: J. Supercomput.
– year: 2012
  ident: bib14
  article-title: Simulation in Computer Network Design and Modeling: Use and Analysis: Use and Analysis
– volume: 20
  start-page: 191
  year: 2012
  end-page: 196
  ident: bib44
  article-title: Orion 2.0: a power-area simulator for interconnection networks
  publication-title: IEEE Trans. Very Large Scale Integr. Syst.
– volume: 26
  year: 2020
  ident: bib11
  article-title: A novel congestion-aware routing algorithm with prediction in mesh-based networks-on-chip
  publication-title: Nano Communication Networks
– volume: 71
  start-page: 60
  year: 2018
  end-page: 76
  ident: bib46
  article-title: A novel adaptive congestion-aware and load-balanced routing algorithm in networks-on-chip
  publication-title: Comput. Electr. Eng.
– start-page: 1
  year: 2010
  end-page: 12
  ident: bib40
  article-title: Destination-based adaptive routing on 2D mesh networks
  publication-title: Architectures for Networking and Communications Systems (ANCS), 2010 ACM/IEEE Symposium on
– start-page: 1
  year: 2017
  end-page: 6
  ident: bib13
  article-title: A novel congestion-aware and adaptive routing algorithm in mesh-based Networks-on-Chip with segmentation
  publication-title: International Symposium on Computer Architecture and Digital Systems
– volume: 51
  start-page: 121
  year: 2016
  end-page: 138
  ident: bib24
  article-title: A novel power efficient adaptive RED-based flow control mechanism for networks-on-chip
  publication-title: Comput. Electr. Eng.
– start-page: 1408
  year: 2008
  ident: 10.1016/j.mejo.2021.105145_bib32
  article-title: BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs
– start-page: 86
  year: 2013
  ident: 10.1016/j.mejo.2021.105145_bib43
  article-title: A detailed and flexible cycle-accurate network-on-chip simulator
– start-page: 203
  year: 2008
  ident: 10.1016/j.mejo.2021.105145_bib20
  article-title: Regional congestion awareness for load balance in networks-on-chip
– year: 2003
  ident: 10.1016/j.mejo.2021.105145_bib1
– volume: 49
  start-page: 117
  year: 2017
  ident: 10.1016/j.mejo.2021.105145_bib23
  article-title: MCAR: non-local adaptive Network-on-Chip routing with message propagation of congestion information
  publication-title: Microprocess. Microsyst.
  doi: 10.1016/j.micpro.2016.11.013
– start-page: 1
  year: 2017
  ident: 10.1016/j.mejo.2021.105145_bib42
  article-title: EbDa: a new theory on design and verification of deadlock-free interconnection networks
– year: 2019
  ident: 10.1016/j.mejo.2021.105145_bib9
  article-title: Adaptive transceiver for wireless NoC to enhance multicast/unicast communication scenarios
– start-page: 1
  year: 2017
  ident: 10.1016/j.mejo.2021.105145_bib13
  article-title: A novel congestion-aware and adaptive routing algorithm in mesh-based Networks-on-Chip with segmentation
– volume: 51
  start-page: 121
  year: 2016
  ident: 10.1016/j.mejo.2021.105145_bib24
  article-title: A novel power efficient adaptive RED-based flow control mechanism for networks-on-chip
  publication-title: Comput. Electr. Eng.
  doi: 10.1016/j.compeleceng.2015.09.023
– volume: 74
  start-page: 6102
  issue: 11
  year: 2018
  ident: 10.1016/j.mejo.2021.105145_bib10
  article-title: Reliable congestion-aware path prediction mechanism in 2D NoCs based on EFuNN
  publication-title: J. Supercomput.
  doi: 10.1007/s11227-018-2515-2
– start-page: 24
  year: 1995
  ident: 10.1016/j.mejo.2021.105145_bib45
  article-title: The SPLASH-2 programs: characterization and methodological considerations
– volume: 24
  start-page: 1918
  issue: 10
  year: 2013
  ident: 10.1016/j.mejo.2021.105145_bib17
  article-title: An effective and feasible congestion management technique for high-performance MINs with tag-based distributed routing
  publication-title: IEEE Trans. Parallel Distr. Syst.
  doi: 10.1109/TPDS.2012.303
– volume: vol. 1
  start-page: 11126
  year: 2003
  ident: 10.1016/j.mejo.2021.105145_bib29
  article-title: Load distribution with the proximity congestion awareness in a network on chip
– start-page: 284
  year: 2011
  ident: 10.1016/j.mejo.2021.105145_bib38
  article-title: Agent-based on-chip network using efficient selection method
– volume: 3
  start-page: 46
  issue: 1
  year: 2008
  ident: 10.1016/j.mejo.2021.105145_bib2
  article-title: Buffer sizing for multimedia flows in packet-switching NoCs
  publication-title: Journal Integrated Circuits and Systems
  doi: 10.29292/jics.v3i1.281
– volume: 88
  start-page: 47
  year: 2019
  ident: 10.1016/j.mejo.2021.105145_bib3
  article-title: Design exploration for network on chip based FPGAs: 2D and 3D tiles to router interface
  publication-title: Microelectron. J.
  doi: 10.1016/j.mejo.2019.04.005
– volume: 71
  start-page: 3419
  issue: 9
  year: 2015
  ident: 10.1016/j.mejo.2021.105145_bib27
  article-title: Per-packet global congestion estimation for fast packet delivery in networks-on-chip
  publication-title: J. Supercomput.
  doi: 10.1007/s11227-015-1439-3
– start-page: 1
  year: 2010
  ident: 10.1016/j.mejo.2021.105145_bib40
  article-title: Destination-based adaptive routing on 2D mesh networks
– year: 2004
  ident: 10.1016/j.mejo.2021.105145_bib4
– year: 2011
  ident: 10.1016/j.mejo.2021.105145_bib6
– volume: 68
  start-page: 1214
  issue: 3
  year: 2014
  ident: 10.1016/j.mejo.2021.105145_bib21
  article-title: Adaptive load balancing in learning-based approaches for many-core embedded systems
  publication-title: J. Supercomput.
  doi: 10.1007/s11227-014-1166-1
– volume: vol. 39
  start-page: 413
  year: 2011
  ident: 10.1016/j.mejo.2021.105145_bib34
  article-title: DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip
– start-page: 849
  year: 2006
  ident: 10.1016/j.mejo.2021.105145_bib31
  article-title: DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip
– start-page: 1
  year: 2014
  ident: 10.1016/j.mejo.2021.105145_bib19
  article-title: Thermal-Aware dynamic buffer allocation for proactive routing algorithm on 3D network-on-chip systems
– start-page: 849
  year: 2006
  ident: 10.1016/j.mejo.2021.105145_bib22
  article-title: DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip
– year: 2020
  ident: 10.1016/j.mejo.2021.105145_bib8
  article-title: Temperature-aware core mapping for heterogeneous 3D noc design through constraint programming
– year: 2012
  ident: 10.1016/j.mejo.2021.105145_bib12
– volume: vol. 9
  start-page: 39
  year: 2011
  ident: 10.1016/j.mejo.2021.105145_bib25
  article-title: A cost effective centralized adaptive routing for networks-on-chip
– volume: 26
  start-page: 2272
  issue: 8
  year: 2015
  ident: 10.1016/j.mejo.2021.105145_bib36
  article-title: FreeRider: non-local adaptive network-on-chip routing with packet-carried propagation of congestion information
  publication-title: IEEE Trans. Parallel Distr. Syst.
  doi: 10.1109/TPDS.2014.2345065
– volume: 26
  year: 2020
  ident: 10.1016/j.mejo.2021.105145_bib11
  article-title: A novel congestion-aware routing algorithm with prediction in mesh-based networks-on-chip
  publication-title: Nano Communication Networks
  doi: 10.1016/j.nancom.2020.100322
– volume: 11
  start-page: 48
  issue: 3
  year: 2020
  ident: 10.1016/j.mejo.2021.105145_bib28
  article-title: Reliable weighted globally congestion aware routing for network on chip
  publication-title: Int. J. Embed. Real-Time Commun. Syst. (IJERTCS)
  doi: 10.4018/IJERTCS.2020070103
– start-page: 333
  year: 2006
  ident: 10.1016/j.mejo.2021.105145_bib41
  article-title: ViChaR: a dynamic virtual channel regulator for network-on-chip routers
– volume: 84
  start-page: 96
  year: 2019
  ident: 10.1016/j.mejo.2021.105145_bib33
  article-title: An encapsulated packet-selection routing for network on chip
  publication-title: Microelectron. J.
  doi: 10.1016/j.mejo.2019.01.002
– volume: 20
  start-page: 191
  issue: 1
  year: 2012
  ident: 10.1016/j.mejo.2021.105145_bib44
  article-title: Orion 2.0: a power-area simulator for interconnection networks
  publication-title: IEEE Trans. Very Large Scale Integr. Syst.
  doi: 10.1109/TVLSI.2010.2091686
– start-page: 2013
  year: 2013
  ident: 10.1016/j.mejo.2021.105145_bib26
  article-title: GCA: Global congestion awareness for load balance in networks-on-chip
– volume: vol. 3
  year: 2011
  ident: 10.1016/j.mejo.2021.105145_bib18
– year: 2012
  ident: 10.1016/j.mejo.2021.105145_bib14
– volume: 71
  start-page: 60
  year: 2018
  ident: 10.1016/j.mejo.2021.105145_bib46
  article-title: A novel adaptive congestion-aware and load-balanced routing algorithm in networks-on-chip
  publication-title: Comput. Electr. Eng.
  doi: 10.1016/j.compeleceng.2018.07.006
– volume: 67
  start-page: 1416
  issue: 10
  year: 2018
  ident: 10.1016/j.mejo.2021.105145_bib7
  article-title: Fast data delivery for many-core processors
  publication-title: IEEE Trans. Comput.
  doi: 10.1109/TC.2018.2821144
– start-page: 17
  year: 2011
  ident: 10.1016/j.mejo.2021.105145_bib16
  article-title: Hope: hotspot congestion control for clos network on chip
– volume: 27
  start-page: 2062
  issue: 7
  year: 2016
  ident: 10.1016/j.mejo.2021.105145_bib39
  article-title: Local congestion avoidance in Network-on-Chip
  publication-title: IEEE Trans. Parallel Distr. Syst.
  doi: 10.1109/TPDS.2015.2474375
– year: 2010
  ident: 10.1016/j.mejo.2021.105145_bib5
– start-page: 93
  year: 2017
  ident: 10.1016/j.mejo.2021.105145_bib37
  article-title: Efficient regional congestion awareness (ERCA) for load balance with aggregated congestion information
– start-page: 320
  year: 2012
  ident: 10.1016/j.mejo.2021.105145_bib35
  article-title: CATRA-congestion aware trapezoid-based routing algorithm for on-chip networks
– start-page: 240
  year: 2014
  ident: 10.1016/j.mejo.2021.105145_bib15
  article-title: CARM: congestion adaptive routing method for on chip networks
– year: 2004
  ident: 10.1016/j.mejo.2021.105145_bib30
SSID ssj0005724
Score 2.3190103
Snippet In this paper we propose a new congestion-aware routing algorithm. At the First step, this algorithm splits NoC into a number of subnets. Then a global routing...
SourceID crossref
elsevier
SourceType Enrichment Source
Index Database
Publisher
StartPage 105145
SubjectTerms Adaptive routing algorithms
Congestion control management
Congestion information
Load balancing
Network-on-chip (NoC)
Title A congestion-aware routing algorithm for mesh-based platform networks-on-chip
URI https://dx.doi.org/10.1016/j.mejo.2021.105145
Volume 114
hasFullText 1
inHoldings 1
isFullTextHit
isPrint
journalDatabaseRights – providerCode: PRVESC
  databaseName: Baden-Württemberg Complete Freedom Collection (Elsevier)
  issn: 1879-2391
  databaseCode: GBLVA
  dateStart: 20110101
  customDbUrl:
  isFulltext: true
  dateEnd: 99991231
  titleUrlDefault: https://www.sciencedirect.com
  omitProxy: true
  ssIdentifier: ssj0005724
  providerName: Elsevier
– providerCode: PRVESC
  databaseName: ScienceDirect (Elsevier)
  issn: 1879-2391
  databaseCode: AIKHN
  dateStart: 19950101
  customDbUrl:
  isFulltext: true
  dateEnd: 99991231
  titleUrlDefault: https://www.sciencedirect.com
  omitProxy: true
  ssIdentifier: ssj0005724
  providerName: Elsevier
– providerCode: PRVESC
  databaseName: ScienceDirect (Elsevier)
  issn: 1879-2391
  databaseCode: ACRLP
  dateStart: 19950101
  customDbUrl:
  isFulltext: true
  dateEnd: 99991231
  titleUrlDefault: https://www.sciencedirect.com
  omitProxy: true
  ssIdentifier: ssj0005724
  providerName: Elsevier
– providerCode: PRVESC
  databaseName: ScienceDirect (Elsevier)
  issn: 1879-2391
  databaseCode: .~1
  dateStart: 19950101
  customDbUrl:
  isFulltext: true
  dateEnd: 99991231
  titleUrlDefault: https://www.sciencedirect.com
  omitProxy: true
  ssIdentifier: ssj0005724
  providerName: Elsevier
– providerCode: PRVLSH
  databaseName: Elsevier Journals
  issn: 1879-2391
  databaseCode: AKRWK
  dateStart: 19790501
  customDbUrl:
  isFulltext: true
  mediaType: online
  dateEnd: 99991231
  omitProxy: true
  ssIdentifier: ssj0005724
  providerName: Library Specific Holdings
link http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV1LawIxEA5iL-2h9EntQ3LoraSaTdxsjiIttkVPFbwteVbFx6IrvfW3N-OuxULx0OOGDISPMPmG_b4ZhO6b3ulQeCRER5YRThUjmoViJZaSi1hYHyVgcO714-6Avw5bwwrqbL0wIKssc3-R0zfZulxplGg2svEYPL5RYOMyiujGDwyOcs4FTDF4_NqReYhisG0iJImYpKVxptB4zdwEDIARhXG3FCxNfz1OOw_O8wk6LpkibheHOUUVNz9DRzv9A89Rr41DOQt_iAK6RH2qpcPLxRqUzFhNPxah8B_NcKCleOZWIwIvlsXZVOXAVPG8UICvSIg1o3F2gQbPT--dLinnIxATCoecWC20YtrbJnPeetr0nolIu7DSkkr6hHInGTPUcOWNS6RKoANcLAInoYIadomq88XcXSFMDTRy19TbJOHUaOkti23Yx0PBZ7SvIboFJjVl83CYYTFNtyqxSQpgpgBmWoBZQw8_MVnROmPv7tYW7_TXBUhDbt8Td_3PuBt0CF-Flu8WVfPl2t0FfpHr-uYC1dFB--Wt2_8Ghj7Pfg
linkProvider Elsevier
linkToHtml http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV1LSwMxEA5aD-pBfOLbHLxJbJNsN5tjKZb6aE8t9LbkaVv6ol3x5m83091KBenBazYD4SNM5mO_bwah-4p3OhCPhGhmOYmo4kTzQFZiKSMRC-tZAgbnVjtudqOXXrW3heorLwzIKovcn-f0ZbYuVsoFmuXZYAAeXxaqcckYXfqBxTbaiapMAAN7_FrTeYh8sm0iJGFc0sI5k4u8xm4IDkBGYd4tBU_TX6_T2ovTOEQHRamIa_lpjtCWmxyj_bUGgieoVcOBz8IvogAvUZ9q7vB8-gFSZqxG79PA_PtjHOpSPHaLPoEny-LZSGVQquJJLgFfkBBr-oPZKeo2njr1JikGJBATmENGrBZace1thTtvPa14zwXTLqxUpZI-oZGTnBtqIuWNS6RKoAVcLEJRQgU1_AyVJtOJO0eYGujkrqm3SRJRo6W3PLZhXxQYn9H-AtEVMKkpuofDEItRupKJDVMAMwUw0xzMC_TwEzPLe2ds3F1d4Z3-ugFpSO4b4i7_GXeHdpud1lv69tx-vUJ78CUX9l2jUjb_cDeh2Mj07fIyfQOCQdET
openUrl ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=A+congestion-aware+routing+algorithm+for+mesh-based+platform+networks-on-chip&rft.jtitle=Microelectronics&rft.au=Taherkhani%2C+N.&rft.au=Akbar%2C+R.&rft.au=Safaei%2C+F.&rft.au=Moudi%2C+M.&rft.date=2021-08-01&rft.pub=Elsevier+Ltd&rft.issn=1879-2391&rft.volume=114&rft_id=info:doi/10.1016%2Fj.mejo.2021.105145&rft.externalDocID=S0026269221001567
thumbnail_l http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=1879-2391&client=summon
thumbnail_m http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=1879-2391&client=summon
thumbnail_s http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=1879-2391&client=summon