Machine Learning Based Variation Modeling and Optimization for 3D ICs

Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the ind...

Full description

Saved in:
Bibliographic Details
Published inJournal of Information and Communication Convergence Engineering, 14(4) Vol. 14; no. 4; pp. 258 - 267
Main Authors Samal, Sandeep Kumar, Chen, Guoqing, Lim, Sung Kyu
Format Journal Article
LanguageEnglish
Published 한국정보통신학회JICCE 31.12.2016
한국정보통신학회
Subjects
Online AccessGet full text
ISSN2234-8255
2234-8883
DOI10.6109/jicce.2016.14.4.258

Cover

Abstract Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimation of logic paths in 3D designs. Our model makes use of key parameters extracted from existing GDSII 3D IC design and sign-off simulation database. Thus, it requires no runtime overhead when compared to AOCV analysis while achieving an average accuracy of 90% in variation evaluation. By using our model in a full-chip variation-aware 3D IC physical design flow, we obtain up to 16% improvement in critical path delay under variations, which is verified with detailed Monte Carlo simulations. KCI Citation Count: 0
AbstractList Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an additional design complexity and makes variation estimation and full-chip optimization even more challenging. In this paper, we show that the industry standard on-chip variation (AOCV) tables cannot be applied directly to 3D paths that are spanning multiple dies. We develop a new machine learning-based model and methodology for an accurate variation estimation of logic paths in 3D designs. Our model makes use of key parameters extracted from existing GDSII 3D IC design and sign-off simulation database. Thus, it requires no runtime overhead when compared to AOCV analysis while achieving an average accuracy of 90% in variation evaluation. By using our model in a full-chip variation-aware 3D IC physical design flow, we obtain up to 16% improvement in critical path delay under variations, which is verified with detailed Monte Carlo simulations. KCI Citation Count: 0
Author Sandeep Kumar Samal
Guoqing Chen
Sung Kyu Lim
Author_xml – sequence: 1
  givenname: Sandeep Kumar
  surname: Samal
  fullname: Samal, Sandeep Kumar
– sequence: 2
  givenname: Guoqing
  surname: Chen
  fullname: Chen, Guoqing
– sequence: 3
  givenname: Sung Kyu
  surname: Lim
  fullname: Lim, Sung Kyu
BackLink https://www.kci.go.kr/kciportal/ci/sereArticleSearch/ciSereArtiView.kci?sereArticleSearchBean.artiId=ART002182783$$DAccess content in National Research Foundation of Korea (NRF)
BookMark eNo9kE9PAjEUxBuDiYh8Ai-9ePCwa_-87naPiKgkIIlBr02722IFuqSLB_307gJ6mklm5r3kd4l6oQ4WoWtK0oyS4u7Tl6VNGaFZSiGFlAl5hvqMcUiklLz355kQF2jYNN4QwXme5QT6aDLX5YcPFs-sjsGHFb7Xja3wu45e730d8Lyu7KYLdKjwYrf3W_9zTFwdMX_A03Fzhc6d3jR2eNIBenucLMfPyWzxNB2PZklJiYTEcANQ8KwwBArKjCZGCk4rJlkBJrMMTE6stbwsDDDnNKFOuAIqyUkuNOMDdHu8G6JT69KrWvuDrmq1jmr0upwqygkDkrVdfuyWsW6aaJ3aRb_V8VtRojpw6gBOdeAUBQWqBdeubk4fvtq2rbz-n70sHiaUMtaB478nbm4Q
Cites_doi 10.1109/TVLSI.2012.2201760
10.1109/TED.2009.2034508
10.1109/JSSC.2012.2222814
10.1109/TVLSI.2013.2285593
10.1109/TCAD.2009.2013278
10.1109/TCPMT.2013.2238581
10.1214/aos/1176347963
ContentType Journal Article
DBID DBRKI
TDB
AAYXX
CITATION
ACYCR
DOI 10.6109/jicce.2016.14.4.258
DatabaseName DBPIA - 디비피아
Nurimedia DBPIA Journals
CrossRef
Korean Citation Index
DatabaseTitle CrossRef
DatabaseTitleList
DeliveryMethod fulltext_linktorsrc
EISSN 2234-8883
EndPage 267
ExternalDocumentID oai_kci_go_kr_ARTI_1302406
10_6109_jicce_2016_14_4_258
NODE11226704
GroupedDBID .UV
ALMA_UNASSIGNED_HOLDINGS
DBRKI
TDB
AAYXX
CITATION
ACYCR
ID FETCH-LOGICAL-c1084-b3b449369b04912ba0b8531d28294b6e24b70eee3c9b42ffa01f5f94d83075a23
ISSN 2234-8255
IngestDate Sun Mar 09 07:51:55 EDT 2025
Tue Jul 01 00:57:29 EDT 2025
Thu Feb 06 13:18:16 EST 2025
IsPeerReviewed true
IsScholarly true
Issue 4
Keywords Regression
Variation
Machine-learning
3D ICs
Language English
LinkModel OpenURL
MergedId FETCHMERGED-LOGICAL-c1084-b3b449369b04912ba0b8531d28294b6e24b70eee3c9b42ffa01f5f94d83075a23
Notes http://jicce.org
G704-SER000003196.2016.14.4.007
PageCount 10
ParticipantIDs nrf_kci_oai_kci_go_kr_ARTI_1302406
crossref_primary_10_6109_jicce_2016_14_4_258
nurimedia_primary_NODE11226704
ProviderPackageCode CITATION
AAYXX
PublicationCentury 2000
PublicationDate 2016-12-31
PublicationDateYYYYMMDD 2016-12-31
PublicationDate_xml – month: 12
  year: 2016
  text: 2016-12-31
  day: 31
PublicationDecade 2010
PublicationTitle Journal of Information and Communication Convergence Engineering, 14(4)
PublicationYear 2016
Publisher 한국정보통신학회JICCE
한국정보통신학회
Publisher_xml – name: 한국정보통신학회JICCE
– name: 한국정보통신학회
References (E1ICAW_2016_v14n4_258_003) 2014; 22
(E1ICAW_2016_v14n4_258_009) 2013; 3
(E1ICAW_2016_v14n4_258_010) 2009; 28
(E1ICAW_2016_v14n4_258_015) 2013; 21
(E1ICAW_2016_v14n4_258_013) 1991; 19
(E1ICAW_2016_v14n4_258_001) 2013; 48
(E1ICAW_2016_v14n4_258_016) 2010; 57
References_xml – volume: 21
  start-page: 862
  year: 2013
  ident: E1ICAW_2016_v14n4_258_015
  publication-title: IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  doi: 10.1109/TVLSI.2012.2201760
– volume: 57
  start-page: 256
  year: 2010
  ident: E1ICAW_2016_v14n4_258_016
  publication-title: IEEE Transactions on Electron Devices
  doi: 10.1109/TED.2009.2034508
– volume: 48
  start-page: 104
  year: 2013
  ident: E1ICAW_2016_v14n4_258_001
  publication-title: IEEE Journal of Solid-State Circuits
  doi: 10.1109/JSSC.2012.2222814
– volume: 22
  start-page: 2145
  year: 2014
  ident: E1ICAW_2016_v14n4_258_003
  publication-title: IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  doi: 10.1109/TVLSI.2013.2285593
– volume: 28
  start-page: 350
  year: 2009
  ident: E1ICAW_2016_v14n4_258_010
  publication-title: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
  doi: 10.1109/TCAD.2009.2013278
– volume: 3
  start-page: 1720
  year: 2013
  ident: E1ICAW_2016_v14n4_258_009
  publication-title: IEEE Transactions on Components, Packaging and Manufacturing Technology
  doi: 10.1109/TCPMT.2013.2238581
– volume: 19
  start-page: 1
  year: 1991
  ident: E1ICAW_2016_v14n4_258_013
  publication-title: Annals of Statistics
  doi: 10.1214/aos/1176347963
SSID ssib053376704
ssib044744615
ssib025702295
ssib012146031
Score 1.9780406
Snippet Three-dimensional integrated circuits (3D ICs) experience die-to-die variations in addition to the already challenging within-die variations. This adds an...
SourceID nrf
crossref
nurimedia
SourceType Open Website
Index Database
Publisher
StartPage 258
SubjectTerms 전자/정보통신공학
Title Machine Learning Based Variation Modeling and Optimization for 3D ICs
URI https://www.dbpia.co.kr/journal/articleDetail?nodeId=NODE11226704
https://www.kci.go.kr/kciportal/ci/sereArticleSearch/ciSereArtiView.kci?sereArticleSearchBean.artiId=ART002182783
Volume 14
hasFullText 1
inHoldings 1
isFullTextHit
isPrint
ispartofPNX Journal of Information and Communication Convergence Engineering, 2016, 14(4), , pp.258-267
link http://utb.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV1bb9MwFLa68QAvEwgQ5TJZiLeQkosTN4-wFjYu28M2tDfLdpxpjKVbaR9AiN_OZztJs2kgxovbRspJ6_P1XOzj7xDyAkFDWeaJDCXnSFDgIUM5liyUccENY4j4tSuQ3c23D9n7o-xoMPjZP12yUCP949pzJf-jVVyDXu0p2RtothOKC3gP_WKEhjH-k44_uUpI05KkHgdv4JPK4DPyX69X2-nsa3sMcQ_W4aw5dumqC9NJsLP17Q_hacOoumjLlXX_JIkvVp97Ik-zojTs1mvkmWskEOzbNWpzHrhK7lUpgbd175azi95NH31j532Yn-DD92V_PSLOW9bD1mwh3mAh8s7sko1lPSyxvsH0xO2N7018a46rZj33rKhfTrS2zKZxDvs-YqPu3j6J9hXn1pUcItmxYoQTIqwQZD2CCQhZI7cSjsjLFn7-mrbWKLYtz6PVHqlt99f0PnefGeNIpVfBFOJmnnPXr7KbAk9zZZ_76povfykUWqvnGG_XS9vVAaahF-Uc3CUbjf7pa4-1e2Rg6vtk2uCMtjijDme0wxltcUahbtrHGQWIaDqhwNkDcvh2erC1HTbtN0IdR2MWqlThz5rmBX5rESdKRgqxXVzavXemcpMwxSNjTKoLxZKqklFcZVXByjH8RiaT9CFZr2e1eUSohiORSAzGuixYxSOVpqwwmTE8rhIZR0Pysp0Jce5ZVsRfFDYkzzFb4lSfCMuObl-PZ-J0LpAD7tgNWhumDslmN5md0N29yRQZRmIV9fhmD31C7qzg_pSsL-ZL8wwB6UJtOtz8BoJFg00
linkProvider ISSN International Centre
openUrl ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=Machine+Learning+Based+Variation+Modeling+and+Optimization+for+3D+ICs&rft.jtitle=Journal+of+information+and+communication+convergence+engineering&rft.au=Samal%2C+Sandeep+Kumar&rft.au=Chen%2C+Guoqing&rft.au=Lim%2C+Sung+Kyu&rft.date=2016-12-31&rft.issn=2234-8255&rft.volume=14&rft.issue=4&rft.spage=258&rft.epage=267&rft_id=info:doi/10.6109%2Fjicce.2016.14.4.258&rft.externalDBID=n%2Fa&rft.externalDocID=10_6109_jicce_2016_14_4_258
thumbnail_l http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=2234-8255&client=summon
thumbnail_m http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=2234-8255&client=summon
thumbnail_s http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=2234-8255&client=summon