Enabling the Internet of Things : from integrated circuits to integrated systems

This book offers the first comprehensive view on integrated circuit and system design for the Internet of Things (IoT), and in particular for the tiny nodes at its edge. The authors provide a fresh perspective on how the IoT will evolve based on recent and foreseeable trends in the semiconductor ind...

Full description

Saved in:
Bibliographic Details
Other Authors: Alioto, Massimo, (Editor)
Format: eBook
Language: English
Published: Cham, Switzerland : Springer, 2017.
Subjects:
ISBN: 9783319514826
9783319514802
Physical Description: 1 online resource

Cover

Table of contents

LEADER 07474cam a2200445Ii 4500
001 99751
003 CZ-ZlUTB
005 20201229193747.0
006 m o d
007 cr cnu|||unuuu
008 170127s2017 sz ob 000 0 eng d
040 |a N$T  |b eng  |e rda  |e pn  |c N$T  |d EBLCP  |d GW5XE  |d IDEBK  |d UAB  |d YDX  |d NJR  |d N$T  |d OCLCF  |d UPM  |d MERUC  |d VT2  |d UWO  |d IOG  |d MERER  |d ESU  |d OCLCQ  |d JBG  |d IAD  |d ICW  |d ICN  |d OTZ  |d OCLCQ  |d U3W  |d CAUOI  |d OCLCQ  |d KSU  |d AU@  |d OCLCQ  |d WYU  |d UKMGB  |d UKAHL  |d OCLCQ  |d ERF  |d UKBTH  |d LEATE  |d OCLCQ  |d LQU  |d OCLCQ  |d SRU  |d ADU 
020 |a 9783319514826  |q (electronic bk.) 
020 |z 9783319514802  |q (print) 
024 7 |a 10.1007/978-3-319-51482-6  |2 doi 
024 8 |a 10.1007/978-3-319-51 
035 |a (OCoLC)970393319  |z (OCoLC)970779096  |z (OCoLC)971043468  |z (OCoLC)971068250  |z (OCoLC)971219802  |z (OCoLC)972126287  |z (OCoLC)972238371  |z (OCoLC)974651596  |z (OCoLC)981112487  |z (OCoLC)981776392  |z (OCoLC)1005774085  |z (OCoLC)1011949691  |z (OCoLC)1048139746  |z (OCoLC)1058421967  |z (OCoLC)1066458737  |z (OCoLC)1066465185  |z (OCoLC)1086467573  |z (OCoLC)1112562974  |z (OCoLC)1112834032  |z (OCoLC)1112930155  |z (OCoLC)1116548510  |z (OCoLC)1122816918  |z (OCoLC)1127113711  |z (OCoLC)1135632614  |z (OCoLC)1156002647  |z (OCoLC)1159659016 
245 0 0 |a Enabling the Internet of Things :  |b from integrated circuits to integrated systems /  |c Massimo Alioto, editor. 
264 1 |a Cham, Switzerland :  |b Springer,  |c 2017. 
300 |a 1 online resource 
336 |a text  |b txt  |2 rdacontent 
337 |a počítač  |b c  |2 rdamedia 
338 |a online zdroj  |b cr  |2 rdacarrier 
505 0 |a Preface; Contents; About the Editor; 1: IoT: Bird's Eye View, Megatrends and Perspectives; 1.1 The Internet of Things: Context and Overview; 1.2 Brief Review of IoT Applications; 1.2.1 Considerations on the IoT Market Volume; 1.2.2 Summary of Current and Prospective Applications of the IoT; 1.3 Requirements of IoT Nodes; 1.3.1 Physical Constraints; 1.3.2 Interaction with the External World; 1.3.3 On-Board Capabilities of IoT Nodes; 1.3.4 User Constraints; 1.4 Looking at the Past: IoT as Natural Outcome of Technological Trends; 1.5 Looking at the Present: Typical Specifications of IoT Nodes. 
505 8 |a 1.5.1 Architecture of IoT Nodes1.5.2 Typical Specifications of Commercial IoT Nodes; 1.6 Present and Future Challenges in Chips for IoT Nodes: Energy Efficiency; 1.6.1 The Wireless Power Issue and the Communication-Computation Tradeoff; 1.6.2 Opportunities to Achieve Highly Energy-Efficient Processing; 1.7 Present and Future Challenges in Chips for IoT Nodes: Security; 1.7.1 Security Challenges in IoT Nodes; 1.7.2 Opportunities to Address Security Challenges on the IoT Node Side: Physically Unclonable Functions (PUFs) and PUF-Enhanc ... ; 1.8 Present and Future Challenges in IoT Nodes: Cost. 
505 8 |a 1.9 Looking at the Future: IoT Market towards the End of Mooreś Law and Related Trends1.9.1 Perspectives on the Growth of the IoT; 1.9.2 Perspectives on the Impact of the IoT on the Semiconductor Industry Structure; 1.10 Looking at the Future: Convergence of IoT and Other Social Megatrends; References; 2: IoT Nodes: System-Level View; 2.1 Architecture of IoT Nodes; 2.2 Requirements for IoT Nodes; 2.2.1 Power; 2.2.2 Cost; 2.2.3 Interoperability; 2.2.4 Security; 2.2.4.1 Wireless Network Threats; 2.2.4.2 Countermeasures; 2.3 Power-Related Challenges and Design Tradeoffs. 
505 8 |a 2.3.1 Node Availability and Duty-Cycled Operation2.3.2 Activity Profile and Power Modes; 2.4 Cost-Related Challenges and Design Tradeoffs; 2.4.1 Impact of Power on Cost of IoT Node and Concentrator; 2.4.2 Impact of Protocol on Cost; 2.5 Pairing and Security; 2.5.1 Pairing, Registration, and Installation of IoT Nodes; 2.5.2 Impact of Security on Power; 2.5.3 Impact of Security, Pairing and Installation on Cost; 2.6 Battery Lifetime and Examples; 2.7 Global System Power Optimization; 2.8 Perspectives and Trends; References; 3: Ultra-Low-Power Digital Architectures for the Internet of Things. 
505 8 |a 3.1 Definitions and Motivations3.2 Ultra-Low-Power Microcontroller Architectures; 3.2.1 Power Management; 3.2.2 IO Architecture; 3.2.3 Data Processing; 3.2.4 Non-volatile Memories; 3.2.5 A Step Forward: Near-Threshold MCU Architectures; 3.2.6 Compensation of Process and Environmental Variations in Near-Threshold; 3.3 From Single Core to Multi Core; 3.3.1 Energy Benefits and Challenges for Parallel ULP Processors; 3.3.2 Memory Hierarchy for Parallel ULP Processors; 3.3.3 SPMs in the Near-Threshold Region; 3.3.4 Architecture of Memory Subsystem for Parallel ULP Processors. 
506 |a Plný text je dostupný pouze z IP adres počítačů Univerzity Tomáše Bati ve Zlíně nebo vzdáleným přístupem pro zaměstnance a studenty 
520 |a This book offers the first comprehensive view on integrated circuit and system design for the Internet of Things (IoT), and in particular for the tiny nodes at its edge. The authors provide a fresh perspective on how the IoT will evolve based on recent and foreseeable trends in the semiconductor industry, highlighting the key challenges, as well as the opportunities for circuit and system innovation to address them. This book describes what the IoT really means from the design point of view, and how the constraints imposed by applications translate into integrated circuit requirements and design guidelines. Chapter contributions equally come from industry and academia. After providing a system perspective on IoT nodes, this book focuses on state-of-the-art design techniques for IoT applications, encompassing the fundamental sub-systems encountered in Systems on Chip for IoT: ultra-low power digital architectures and circuits low- and zero-leakage memories (including emerging technologies) circuits for hardware security and authentication System on Chip design methodologies on-chip power management and energy harvesting ultra-low power analog interfaces and analog-digital conversion short-range radios miniaturized battery technologies packaging and assembly of IoT integrated systems (on silicon and non-silicon substrates). As a common thread, all chapters conclude with prospective view on the foreseeable evolution of related technologies for IoT. The concepts developed throughout the book are exemplified by two IoT node system demonstrations from industry. The unique balance between breadth and depth of this book: enables expert readers quickly to develop an understanding of the specific challenges and state-of-the-art solutions for IoT, as well as their evolution in the foreseeable future provides non-experts with a comprehensive introduction to integrated circuit design for IoT, and serves as an excellent starting point for further learning, thanks to the broad coverage of topics and selected references makes it very well suited for practicing engineers and scientists working in the hardware and chip design for IoT, and as textbook for senior undergraduate, graduate and postgraduate students (familiar with analog and digital circuits). 
504 |a Includes bibliographical references. 
590 |a SpringerLink  |b Springer Complete eBooks 
650 0 |a Internet of things. 
655 7 |a elektronické knihy  |7 fd186907  |2 czenas 
655 9 |a electronic books  |2 eczenas 
700 1 |a Alioto, Massimo,  |e editor. 
776 0 8 |i Printed edition:  |z 9783319514802 
856 4 0 |u https://proxy.k.utb.cz/login?url=https://link.springer.com/10.1007/978-3-319-51482-6  |y Plný text 
992 |c NTK-SpringerENG 
999 |c 99751  |d 99751